Participer au site avec un Tip
Rechercher
 

Améliorations / Corrections

Vous avez des améliorations (ou des corrections) à proposer pour ce document : je vous remerçie par avance de m'en faire part, cela m'aide à améliorer le site.

Emplacement :

Description des améliorations :

Module « scipy.linalg.lapack »

Fonction dgeev - module scipy.linalg.lapack

Signature de la fonction dgeev

Description

dgeev.__doc__

wr,wi,vl,vr,info = dgeev(a,[compute_vl,compute_vr,lwork,overwrite_a])

Wrapper for ``dgeev``.

Parameters
----------
a : input rank-2 array('d') with bounds (n,n)

Other Parameters
----------------
compute_vl : input int, optional
    Default: 1
compute_vr : input int, optional
    Default: 1
overwrite_a : input int, optional
    Default: 0
lwork : input int, optional
    Default: max(4*n,1)

Returns
-------
wr : rank-1 array('d') with bounds (n)
wi : rank-1 array('d') with bounds (n)
vl : rank-2 array('d') with bounds (ldvl,n)
vr : rank-2 array('d') with bounds (ldvr,n)
info : int